英特爾拿到首臺(tái)2nm光刻機(jī) 重回領(lǐng)先地位?
12月21日,荷蘭光刻機(jī)巨頭ASML通過社交媒體宣布,其首套高數(shù)值孔徑極紫外(High-NA EUV)光刻機(jī)正從荷蘭Veldhoven總部開始裝車發(fā)貨,將向英特爾進(jìn)行交付。
本文引用地址:http://m.butianyuan.cn/article/202312/454336.htm據(jù)了解,高數(shù)值孔徑極紫外光刻機(jī)成本高達(dá)3-4億美元,組裝起來比卡車還大,被分裝在250個(gè)單獨(dú)的板條箱中進(jìn)行運(yùn)輸,共計(jì)需要13個(gè)大型集裝箱??紤]到交貨時(shí)間和后續(xù)安裝,真正投入使用還需要數(shù)月時(shí)間。
數(shù)值孔徑(NA)是光刻機(jī)光學(xué)系統(tǒng)的重要指標(biāo),直接決定了光刻的實(shí)際分辨率和最高能達(dá)到的工藝節(jié)點(diǎn)。一般來說,金屬間距縮小到30nm以下之后,也就是對(duì)應(yīng)的工藝節(jié)點(diǎn)超越5nm,低數(shù)值孔徑光刻機(jī)的分辨率就不夠了,只能使用EUV雙重曝光和/或曝光成形(pattern shaping)技術(shù)來輔助,不但會(huì)大大增加成本,還會(huì)降低良品率。因此,更高數(shù)值孔徑成為必需。
ASML的高數(shù)值孔徑極紫外光刻機(jī),將NA值從0.33增加到0.55(所謂的“High-NA”),更強(qiáng)的聚光能力,意味著能夠處理更加精細(xì)的幾何尺寸,同時(shí)這也是繼續(xù)推進(jìn)半導(dǎo)體制程進(jìn)化的路線之一。
在當(dāng)前芯片代工領(lǐng)域,制程工藝依然是各大代工廠最大的核心競(jìng)爭(zhēng)力。隨著蘋果今年已經(jīng)用上臺(tái)積電3nm制程的芯片,對(duì)于處于落后位置的三星和英特爾而言,2nm自然就成為爭(zhēng)奪市場(chǎng)領(lǐng)先地位的下一個(gè)目標(biāo)。為了實(shí)現(xiàn)下一階段的工藝制程跨越,阿斯麥TWINSCAN EXE:5000/5200光刻機(jī)的上市就成為某種意義上的“破局時(shí)刻”。根據(jù)規(guī)劃,英特爾將在2024年上半年量產(chǎn)Intel 20A制程,下半年將量產(chǎn)更先進(jìn)的Intel 18A制程;而臺(tái)積電、三星都計(jì)劃將在2025年量產(chǎn)2nm制程。
英特爾瞄準(zhǔn)2nm逆轉(zhuǎn)時(shí)刻
自英特爾提出IDM2.0戰(zhàn)略以來,其路線圖目標(biāo)很明確,著力向“四年五個(gè)制程節(jié)點(diǎn)”邁進(jìn),希望在2025年重新奪回半導(dǎo)體生產(chǎn)領(lǐng)導(dǎo)者地位。其中Intel 20A和Intel 18A分別對(duì)應(yīng)2nm和1.8nm制程,英特爾對(duì)此寄予厚望,宣布Intel 20A計(jì)劃于2024年上半年投入使用,進(jìn)展良好的Intel 18A也將提前至2024年下半年進(jìn)入大批量制造,在進(jìn)度上誓要先發(fā)制人。
英特爾CEO帕特·基辛格在Intel Innovation Day論壇上透露,Intel 18A制程目前有許多測(cè)試晶圓正在生產(chǎn)中,這一技術(shù)已經(jīng)研發(fā)完成,正加速進(jìn)入生產(chǎn)階段。其實(shí)英特爾最初就計(jì)劃利用新光刻機(jī)投產(chǎn)Intel 18A工藝,早在2022年1月,英特爾便搶先向阿斯麥下定TWINSCAN EXE:5200,直接將下一代光刻機(jī)上市作為“超車”的沖鋒號(hào),但因?yàn)闀r(shí)間上等不及,只能改用已有的0.33 NA NXE:3600D/3800E疊加雙重曝光。
集邦咨詢的報(bào)告顯示,ASML阿斯麥將在2024年生產(chǎn)10臺(tái)新一代High-NA EUV光刻機(jī),其中英特爾就定了多達(dá)6臺(tái)。因High-NA EUV光刻機(jī)與標(biāo)準(zhǔn)EUV光刻機(jī)差異不小,提升使用經(jīng)驗(yàn)需要大量時(shí)間修正基礎(chǔ)設(shè)施,所以領(lǐng)先對(duì)手幾季部署對(duì)英特爾而言是很大的優(yōu)勢(shì)。另外,在技術(shù)水平上,英特爾也有自己獨(dú)特優(yōu)勢(shì),Intel 20A/18A將會(huì)首發(fā)兩大突破性技術(shù):RibbonFET和PowerVia。
RibbonFET是英特爾對(duì)Gate All Around晶體管的實(shí)現(xiàn),類似多片納米片堆疊在一起,這樣不僅能夠縮小尺寸,而且柵極能夠更好地控制電流的流通,同時(shí)在任意電壓下提供更強(qiáng)的驅(qū)動(dòng)電流,讓晶體管開關(guān)的速度更快,從而提升晶體管的性能;而PowerVia則是英特爾獨(dú)有的、業(yè)界首個(gè)背面電能傳輸網(wǎng)絡(luò),通過消除晶圓正面供電布線需求來優(yōu)化信號(hào)傳輸。英特爾通過兩大技術(shù)的“聯(lián)合”將可能是新的FinFET時(shí)刻 —— 參考英特爾2012年在22nm引入FinFET的榮光。
英特爾稱Intel 18A制程不會(huì)僅供內(nèi)部使用,未來還會(huì)對(duì)外部客戶代工。此前基辛格于10月末透露,Intel 18A制程已于Q3敲定了三家晶圓代工客戶,預(yù)計(jì)年底有望簽下第四家。
英特爾還向芯片設(shè)計(jì)公司提供免費(fèi)測(cè)試生產(chǎn)的優(yōu)惠,以吸引更多的訂單。英特爾曾經(jīng)是芯片制造業(yè)的領(lǐng)導(dǎo)者,但近年來在技術(shù)上落后于臺(tái)積電和三星,導(dǎo)致其在PC和服務(wù)器市場(chǎng)上失去了部分市場(chǎng)份額。英特爾希望通過Intel 18A芯片重振旗鼓,向其他芯片設(shè)計(jì)公司提供代工服務(wù),以擴(kuò)大其業(yè)務(wù)范圍。
業(yè)界推測(cè),接下來英特爾或?qū)⒋蚱飘?dāng)下臺(tái)積電獨(dú)家代工英偉達(dá)高性能AI芯片的狀況。英偉達(dá)首席財(cái)務(wù)官柯蕾絲(Colette Kress)近日在參加瑞銀全球科技大會(huì)時(shí)暗示,英偉達(dá)不排除增加英特爾代工(IFS)作為其晶圓代工供應(yīng)商,生產(chǎn)新一代芯片。
重回領(lǐng)先地位需要翻過兩座大山
無(wú)論是老牌勁旅臺(tái)積電、三星,還是誓言要重回先進(jìn)制程領(lǐng)先地位的英特爾,都將目光鎖定在了實(shí)現(xiàn)2nm首發(fā)。2nm不僅僅是下一代新制程,更是代工格局迎來重塑的拐點(diǎn)。只不過,誰(shuí)能折桂這一榮耀?
臺(tái)積電
多年來,臺(tái)積電一直是晶圓代工產(chǎn)業(yè)的半壁江山,手握英偉達(dá)、蘋果、高通等下游廠商多數(shù)訂單,甩了其他競(jìng)爭(zhēng)對(duì)手幾個(gè)身位。作為行業(yè)老大,臺(tái)積電稱將如期在2025年上線2nm工藝,2025年下半年進(jìn)入量產(chǎn)。據(jù)英國(guó)金融時(shí)報(bào)引述知情人士透露,臺(tái)積電已向蘋果和英偉達(dá)等重要客戶展示N2(即2nm)原型的制程工藝測(cè)試結(jié)果。在全球芯片大廠爭(zhēng)相發(fā)展2nm制程之際,臺(tái)積電再度勝出搶下蘋果訂單,預(yù)計(jì)2025年上市的iPhone 17 Pro將率先采用臺(tái)積電2nm芯片。
2nm可謂是臺(tái)積電的一個(gè)重大節(jié)點(diǎn),將采用納米片晶體管(Nanosheet),取代FinFET,意味著臺(tái)積電工藝正式進(jìn)入GAA時(shí)代。針對(duì)2nm制程所用設(shè)備,臺(tái)積電還將延續(xù)使用EUV光刻機(jī)。值得一提的是,臺(tái)積電于今年9月宣布收購(gòu)將以不超4.328億美元的價(jià)格收購(gòu)英特爾旗下子公司IMS,后者專注于研發(fā)和生產(chǎn)電子束光刻機(jī),以確保關(guān)鍵設(shè)備的技術(shù)開發(fā),并滿足2nm商用化的供應(yīng)需求。
三星
三星目前在先進(jìn)芯片的代工市場(chǎng)份額僅次于臺(tái)積電,是第一家開始大規(guī)模生產(chǎn)3nm芯片的公司,計(jì)劃在2024年上半年進(jìn)入第二代3nm制程技術(shù),在2025年年底前推出2nm制程,在2027年年底之前推出1.4nm制程。
同時(shí),三星也是首家跨入并轉(zhuǎn)型環(huán)繞式柵極(GAA)晶體管架構(gòu)的廠商,在3nm制程中率先采用GAA架構(gòu),在GAA用于先進(jìn)制程方面擁有了量產(chǎn)和磨合的先發(fā)優(yōu)勢(shì),從3nm進(jìn)入2nm可能會(huì)相對(duì)流暢。此外,三星還開發(fā)了MBCFET晶體管專利技術(shù),為其2nm工藝競(jìng)爭(zhēng)力再添籌碼。
有消息稱三星已拿到了高通的訂單,高通下一代高端手機(jī)芯片采用三星SF2(2nm)制程生產(chǎn);同時(shí),三星針對(duì)將推出的2nm原型開出折扣價(jià),以吸引英偉達(dá)等客戶。針對(duì)三星在2nm制程采降價(jià)搶單的傳聞,臺(tái)積電董事長(zhǎng)劉德音向業(yè)界表示“客戶還是看技術(shù)的質(zhì)量”,透露出對(duì)臺(tái)積電先進(jìn)制程技術(shù)與良率優(yōu)勢(shì)的信心。
據(jù)悉,三星將在五年內(nèi)從ASML采購(gòu)50套設(shè)備,每套單價(jià)約為2000億韓元,總價(jià)值可達(dá)10萬(wàn)億韓元。此外,ASML于今年12月中旬與三星簽署備忘錄,將共同投資1萬(wàn)億韓元在韓國(guó)建立研究中心,并將利用下一代EUV光刻機(jī)研究先進(jìn)半導(dǎo)體制程技術(shù)。
不可忽視的變數(shù):先進(jìn)封裝
2nm是工藝的決戰(zhàn),也是先進(jìn)封裝的交鋒。先進(jìn)封裝與制程工藝可謂相輔相成,在提高芯片集成度、加強(qiáng)互聯(lián)、性能優(yōu)化的過程中扮演了重要角色,是性能持續(xù)提升的重要保障。對(duì)于在工藝節(jié)點(diǎn)時(shí)間上的爭(zhēng)奪,先進(jìn)封裝已成為三大巨頭“不可忽視”變數(shù)。
· 臺(tái)積電在先進(jìn)封裝上已獲得了可觀的收入體量,CoWoS的產(chǎn)能大增將十分有利于爭(zhēng)取2nm訂單,“兩手抓”也讓臺(tái)積電的護(hù)城河愈加深厚。在AI產(chǎn)能需求持續(xù)升級(jí)之下,臺(tái)積電正積極擴(kuò)充第六代2.5D先進(jìn)封裝技術(shù)CoWoS產(chǎn)能,將投資約28億美元打造先進(jìn)封裝廠,預(yù)計(jì)2026年底建廠完成、2027年第三季開始量產(chǎn),月產(chǎn)能達(dá)11萬(wàn)片12英寸晶圓,涵蓋SoIC、InFO以及CoWoS等先進(jìn)封裝技術(shù)。
· 英特爾通過多年技術(shù)探索,相繼推出了EMIB、Foveros和Co-EMIB等多種先進(jìn)封裝技術(shù),在互連密度、功率效率和可擴(kuò)展性三個(gè)方面持續(xù)精進(jìn)。在今年5月,英特爾發(fā)布了先進(jìn)封裝技術(shù)藍(lán)圖,計(jì)劃將傳統(tǒng)基板轉(zhuǎn)為更為先進(jìn)的玻璃材質(zhì)基板,以實(shí)現(xiàn)新的超越;而且,英特爾也在布局硅光模塊中的CPO(共封裝光學(xué))技術(shù),以優(yōu)化算力成本。
· 三星推出的I-Cube封裝技術(shù)可與臺(tái)積電CoWoS相抗衡。另外,三星計(jì)劃在2024年量產(chǎn)可處理比普通凸塊更多數(shù)據(jù)的X-Cube封裝技術(shù),并預(yù)計(jì)2026年推出比X-Cube處理更多數(shù)據(jù)的無(wú)凸塊型封裝技術(shù)。擁有從存儲(chǔ)器、處理器芯片的設(shè)計(jì)、制造到先進(jìn)封裝業(yè)務(wù)組合的優(yōu)勢(shì)。
在先進(jìn)封裝領(lǐng)域,目前更加強(qiáng)調(diào)的是異構(gòu)芯片的整合能力,這對(duì)于提高芯片性能和效能至關(guān)重要。因而,未來2nm性能的比拼也將圍繞先進(jìn)封裝這一能力展開。
盡管2nm技術(shù)進(jìn)階的路徑基本相同,巨頭們各有伯仲,但考驗(yàn)絕不止首發(fā)那么簡(jiǎn)單,良率、客戶粘性和服務(wù)均將影響2nm量產(chǎn)的進(jìn)程。其中,良率可謂至關(guān)重要,畢竟2nm制程晶圓代工報(bào)價(jià)約為24570美元,成本如此之高的情況下低良率是沒有出路的。
而影響良率的因素繁多,涉及工藝優(yōu)化、設(shè)計(jì)水平、經(jīng)驗(yàn)等等。在良率能夠不斷優(yōu)化提升的前提條件下,如果某家廠商的良率能夠達(dá)到高于競(jìng)爭(zhēng)對(duì)手一個(gè)數(shù)量級(jí),那么有可能客戶在A家下的單就會(huì)轉(zhuǎn)至B家,變數(shù)還是很大的。
2nm芯片的競(jìng)爭(zhēng)不僅是技術(shù)上的挑戰(zhàn),也是商業(yè)上的博弈。各大芯片制造商都在尋求與客戶建立長(zhǎng)期的合作關(guān)系,以確保其未來的收入和利潤(rùn)。同時(shí),客戶也在尋求多元化的供應(yīng)商,以降低對(duì)單一芯片制造商的依賴,以應(yīng)對(duì)全球芯片短缺的危機(jī)。
2nm的代工格局走向究竟如何,要看各廠商的“言之鑿鑿”到底有多少落到實(shí)處了。如果一切進(jìn)度都按照披露的時(shí)間線走,那么英特爾還是具有較大的優(yōu)勢(shì),很有可能可以重回領(lǐng)先地位。當(dāng)然了,這些都是“紙上數(shù)據(jù)”,均是自說自話,實(shí)際還要等到各家的工藝量產(chǎn)后才知道。針對(duì)2nm制程的爭(zhēng)奪答案將于2025年揭曉,誰(shuí)能笑到最后,還有待時(shí)間的檢驗(yàn)。
根據(jù)目前的評(píng)估,臺(tái)積電與三星將繼續(xù)是2nm制程的主要代工廠商,因?yàn)樗麄冊(cè)诖饲跋冗M(jìn)制程的良率和量產(chǎn)規(guī)模方面表現(xiàn)出色。英特爾在技術(shù)研發(fā)方面雖具有一定的優(yōu)勢(shì),但其晶圓代工主要專注于自家產(chǎn)品,對(duì)外部客戶的合作較為有限,這對(duì)突破先進(jìn)制程的良率和量產(chǎn)穩(wěn)定性帶來了挑戰(zhàn)。對(duì)于2nm以下先進(jìn)制程的競(jìng)爭(zhēng)中,雖然研發(fā)最終成果還未具體披露,但從搶單、購(gòu)買先進(jìn)設(shè)備等動(dòng)作也可見,各大廠已經(jīng)在為埃米時(shí)代未雨綢繆。
評(píng)論