隨著社會的發(fā)展,直流電機(jī)的應(yīng)用越來越普遍,對直流電機(jī)控制方式的要求也不斷提高。本文利用ALTERA公司最新開發(fā)...
關(guān)鍵字:
VHDL 直流 電機(jī)控制
仝 勇 陶東婭 金銀旗 (1.臺州職業(yè)技術(shù)學(xué)院機(jī)電工程學(xué)院 2.臺州市光躍飲水設(shè)備有限公司) 摘要:介紹了一種基于VHDL的直流電機(jī)控制功能模塊的設(shè)計方案。用硬件描述語言VHDL寫代碼生成功能模塊IP核,并通過SOPC Bu
關(guān)鍵字:
功能模塊 設(shè)計 控制 電機(jī) VHDL 直流 基于
基于VHDL和FPGA的多種分頻實(shí)現(xiàn)方法介紹,分頻器是數(shù)字系統(tǒng)設(shè)計中的基本電路,根據(jù)不同設(shè)計的需要,我們會遇到偶數(shù)分頻、奇數(shù)分頻、半整數(shù)分頻等,有時要求等占空比,有時要求非等占空比。在同一個設(shè)計中有時要求多種形式的分頻。通常由計數(shù)器或計數(shù)器的級聯(lián)
關(guān)鍵字:
方法 介紹 實(shí)現(xiàn) 多種 VHDL FPGA 基于
摘要:為了能夠更簡潔嚴(yán)謹(jǐn)?shù)孛枋鯩TM總線的主模塊有限狀態(tài)機(jī)的狀態(tài)轉(zhuǎn)換,同時減少FPGA芯片功耗,提高系統(tǒng)穩(wěn)定性,文中在分析MTM總線結(jié)構(gòu)和主模塊有限狀態(tài)機(jī)模型的基礎(chǔ)上,基于VHDL語言采用“單進(jìn)程”式對該
關(guān)鍵字:
有限 狀態(tài) 設(shè)計 模塊 總線 VHDL MTM 基于
1引言隨著市場需求的增長,超大規(guī)模集成電路的集成度和工藝水平不斷提高,在一個芯片上完成系統(tǒng)級的...
關(guān)鍵字:
FPGA VHDL 仿真測試 智能控制器
采用VHDL和發(fā)接復(fù)用器的SDH系統(tǒng)設(shè)計及FPGA仿真,針對目前國內(nèi)SDH系統(tǒng)中還沒有一個專門的E1分接復(fù)用芯征,本文介紹一種用高級硬件描述語言VHDL及狀態(tài)轉(zhuǎn)移圖完成該發(fā)接復(fù)用器的設(shè)計的新型設(shè)計方法及其FPGA實(shí)現(xiàn)。并給出了用Xilinx FoundaTIon tools EDA軟件設(shè)計的電路
關(guān)鍵字:
設(shè)計 FPGA 仿真 系統(tǒng) SDH VHDL 復(fù)用器 采用
采用FPGA和VHDL語言的多按鍵狀態(tài)識別系統(tǒng),這里提出一種利用FPGA的I/0端口數(shù)多和可編程的特點(diǎn),采用VHDL語言的多按鍵狀態(tài)識別系統(tǒng),實(shí)現(xiàn)識別60個按鍵自由操作,并簡化MCU的控制信號?! ? 系統(tǒng)設(shè)計方案 FPGA是一種可編程邏輯器件,它具有良好性能、極高的
關(guān)鍵字:
狀態(tài) 識別 系統(tǒng) 按鍵 語言 FPGA VHDL 采用
例1:FPGA驅(qū)動LED靜態(tài)顯示 --文件名:decoder.vhd --功能:譯碼輸出模塊,LED為共陽接法 --最后修改日期:2004.3.24 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL;
關(guān)鍵字:
FPGA VHDL LED 驅(qū)動
應(yīng)用VHDL語言設(shè)計數(shù)字系統(tǒng),大部分設(shè)計工作可在計算機(jī)上完成,從而縮短系統(tǒng)開發(fā)時間,提高工作效率。下面介紹基于VHDL設(shè)計交通燈控制器的一種方案,并給出源程序和仿真結(jié)果?! ? 系統(tǒng)功能與要求 交通燈控制器控
關(guān)鍵字:
設(shè)計 控制器 交通 VHDL 基于
做為電動車的核心—動力電池的安全一直是電動汽車的難點(diǎn)與重點(diǎn),誰掌握了動力電池管理技術(shù),誰就掌握了未來電動汽車市場。本文介紹了品佳集團(tuán)以Infineon高性能16位MCU XC164為平臺,輔以AMS高精度、零溫漂的動力
關(guān)鍵字:
Infineon AMS 動力電池 管理系統(tǒng)
基于VHDL語言對高速A/D器件TLC5510控制的實(shí)現(xiàn),--TLC5510 VHDL控制程序 --文件名:TLC5510.vhd --功能:基于VHDL語言,實(shí)現(xiàn)對高速A/D器件TLC5510控制 --最后修改日期:2004.3.20 library ieee; use ieee.std_logic_1164.all; entity tlc5510 is
關(guān)鍵字:
TLC5510 控制 實(shí)現(xiàn) 器件 A/D VHDL 語言 高速 基于
基于FPGA的中文字符顯示的VHDL程序,--文件名:lcd_driver.vhd。 --功能:FGAD驅(qū)動LCD顯示中文字符“年”?! ?-最后修改日期:2004.3.24?! ibrary IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use
關(guān)鍵字:
VHDL 程序 顯示 字符 FPGA 中文 基于
基于VHDL的基帶信號的MFSK調(diào)制,--多進(jìn)制數(shù)字頻率調(diào)制(MFSK)系統(tǒng)VHDL程序 --文件名:MFSK --功能:基于VHDL硬件描述語言,完成對基帶信號的MFSK調(diào)制 --說明:這里MFSK的M為4 --最后修改日期:2004.2.13 library ieee; use ieee.s
關(guān)鍵字:
MFSK 調(diào)制 信號 基帶 VHDL 基于
用VHDL/VerilogHD語言開發(fā)PLD/FPGA的完整流程,用VHDL/VerilogHD語言開發(fā)PLD/FPGA的完整流程為: 1.文本編輯:用任何文本編輯器都可以進(jìn)行,也可以用專用的HDL編輯環(huán)境。通常VHDL文件保存為.vhd文件,Verilog文件保存為.v文件 2.功能仿真:將文件調(diào)入HDL仿真
關(guān)鍵字:
完整 流程 PLD/FPGA 開發(fā) 語言 VHDL/VerilogHD
vhdl-ams介紹
即IEEE 1076.1標(biāo)準(zhǔn)。
VHDL-AMS是VHDL的一個分支,它支持模擬、數(shù)字、數(shù)?;旌想娐废到y(tǒng)的建模與仿真。
http://www.eda.org/vhdl-ams/
Verilog-AMS與之類似。支持模擬、數(shù)字、數(shù)?;旌想娐废到y(tǒng)的建模與仿真。
http://www.eda.org/verilog-ams/
The VHDL-AMS language [
查看詳細(xì) ]